首页> 外文OA文献 >Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors
【2h】

Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors

机译:多重冗余执行:一种用于芯片多处理器中高效容错的技术

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

Continued CMOS scaling is expected to make future micro-processors susceptible to transient faults, hard faults, manufacturing defects and process variations causing fault tolerance to become important even for general purpose processors targeted at the commodity market. Tomitigate the effect of decreased reliability, a number of fault-tolerant architectures have been proposed that exploit the natural coarse-grained redundancy available in chip multiprocessors (CMPs). These architectures execute a single application using two threads, typically as one leading thread and one trailing thread. Errors are detected by comparing the outputs produced by these two threads. These architectures schedule a single application on two cores or two thread contexts of a CMP. As a result, besides the additional energy consumption and performance overhead that is required to provide fault tolerance, such schemes also impose a throughput loss. Consequently a CMP which is capable of executing 2n threads in non-redundant mode can only execute half as many (n) threads in fault-tolerant mode. In this paper we propose multiplexed redundant execution (MRE), a low-overhead architectural technique that executes multiple trailing threads on a single processor core. MRE exploits the observation that it is possible to accelerate the execution of the trailing thread by providing execution assistance from the leading thread. Execution assistance combined with coarse-grained multithreading allows MRE to schedule multiple trailing threads concurrently on a single core with only a small performance penalty. Our results show that MRE increases the throughput of fault-tolerant CMP by 16% over an ideal dual modular redundant (DMR) architecture. © 2010 EDAA.
机译:持续的CMOS缩放有望使未来的微处理器容易受到瞬态故障,硬故障,制造缺陷和工艺变化的影响,即使对于面向商品市场的通用处理器,容错能力也变得越来越重要。为了减轻可靠性降低的影响,已经提出了许多容错体系结构,这些体系结构利用了芯片多处理器(CMP)中可用的自然粗粒度冗余。这些体系结构使用两个线程(通常作为一个前导线程和一个尾随线程)执行单个应用程序。通过比较这两个线程产生的输出来检测错误。这些体系结构在CMP的两个内核或两个线程上下文上调度单个应用程序。结果,除了提供容错所需的额外能耗和性能开销外,此类方案还带来了吞吐量损失。因此,能够在非冗余模式下执行2n个线程的CMP在容错模式下只能执行一半(n)个线程。在本文中,我们提出了多路复用冗余执行(MRE),这是一种低开销的体系结构技术,可在单个处理器内核上执行多个尾随线程。 MRE利用这样的观察:通过提供来自前导线程的执行帮助,可以加速尾随线程的执行。执行辅助与粗粒度多线程相结合,使MRE可以在单个内核上同时调度多个尾随线程,而对性能的影响很小。我们的结果表明,与理想的双模块冗余(DMR)架构相比,MRE将容错CMP的吞吐量提高了16%。 ©2010 EDAA。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号